CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 32 bit vhdl

搜索资源列表

  1. add(FLP).32位元的浮点数加法器

    0下载:
  2. 一个32位元的浮点数加法器,可将两IEEE 754格式内的值进行相加,A 32-bit floating-point adder can be both within the IEEE 754 format to add value
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:9769
    • 提供者:TTJ
  1. alu

    0下载:
  2. 这是32位alu的代码,使用verilog写的,包含了简单的运算功能-This is a 32-bit alu code, use verilog to write, and includes a simple arithmetic functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1030
    • 提供者:杨恋
  1. ALU_ise10migration

    0下载:
  2. It s vhdl source code for 32 bit ALU.
  3. 所属分类:VHDL-FPGA-Verilog

  1. adder_32

    0下载:
  2. 超前进位加法器是通常数字设计所必备的,本程序为32位超前进位加法器-CLA is usually necessary for digital design, the procedure for 32-bit CLA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-05-18
    • 文件大小:1185
    • 提供者:zhaohongliang
  1. 32-bit_multiplier_model

    0下载:
  2. 此程序为32-bit乘法器,另附有VHDL测试程序-This procedure for 32-bit multiplier, followed VHDL test procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2304
    • 提供者:zhaohongliang
  1. processor.tar

    0下载:
  2. i need of vhdl code for 32-bit risc processor
  3. 所属分类:Editor

    • 发布日期:2017-04-07
    • 文件大小:48589
    • 提供者:ganesh
  1. CRC

    0下载:
  2.  本文提出一种通用的CRC 并行计算原理及实现方法,适于不同的CRC 生成多项式和不同并行度(如8 位、16 位、及32 位等) ,与目前已采用的查表法比较,不需要存放余数表的高速存储器,减少了时延,且可通过增加并 行度来降低高速数传系统的CRC 运算时钟频率.-In this paper, a universal principle of CRC and implementation of parallel computing methods for generating differ
  3. 所属分类:Project Design

    • 发布日期:
    • 文件大小:144382
    • 提供者:黑月
  1. ADDER

    0下载:
  2. 本设计是用32位的并行全加器的,可以实现浮点运算!-The design is a parallel 32-bit full adder, and floating-point operations can be achieved!
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-23
    • 文件大小:278443
    • 提供者:王强
  1. bijiaoqi

    0下载:
  2. pci 32位的core的实现源代码,我晕阿,实在是不好怎么说阿-pci 32-bit core of the realization of the source code, I fainted Ah, how to say it is not Arab. . . .
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:31077
    • 提供者:adfdf
  1. risc32

    0下载:
  2. VHDL设计实例与仿真中的32位risc代码,经仿真确定可以通过-VHDL design and simulation of the 32-bit risc code, as determined by simulation
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:713058
    • 提供者:Jack
  1. up_down_counter

    0下载:
  2. 32 bit up/down counter with count enable based on altera fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:463467
    • 提供者:abu_faisul
  1. ALU_32

    0下载:
  2. 32 bit ALU design,LU Operations: This input specifies the ALU operation to be used during the acquisition process. The ALU operations are divided into logical operations and two classes of arithmetic operations. The two classes of arithmetic operatio
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-04
    • 文件大小:732
    • 提供者:madhawa
  1. ALUALUcontrol

    0下载:
  2. 实现32位的ALU,使其能够支持基本的指令。用Verilog HDL语言或VHDL语言来编写,实现ALU及ALU控制器。 -To achieve 32-bit ALU, so that it can support the basic directives. With the Verilog HDL language or VHDL language to write, implement ALU and the ALU controller.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1060421
    • 提供者:于伟
  1. NewFolder

    0下载:
  2. 32 bit FFT implementation
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:27437
    • 提供者:prashanthi
  1. 32bitBoothmultiplier

    1下载:
  2. 32位布思乘法器VHDL实现,2个32位数相乘-32-bit Booth multiplier VHDL implementation, two 32-digit multiplication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:7272
    • 提供者:jie
  1. 32Bitaludesign

    0下载:
  2. Design of simple 32 bit alu for SPARTAN 3 paltform
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:692
    • 提供者:Ammankumar
  1. fpu100_latest.tar

    1下载:
  2. 这是一个32位的浮点运算单元(FPU),它可以根据IEEE754标准被完全编译。此FPU已被硬件测试和被软件仿真通过。-This is a 32-bit floating point unit (FPU),It can do arithmetic operations on floating point numbers. The FPU complies fully with the IEEE 754 Standard. The FPU was tested and simulated in h
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-12-20
    • 文件大小:1981120
    • 提供者:赵恒
  1. barrierShifter32bit071221136

    0下载:
  2. 用VHDL语言所书写的32位桶形移位器,在QuartusII中编译通过-Written in VHDL language using 32-bit barrel shifter, compiled by the QuartusII
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-09
    • 文件大小:1799689
    • 提供者:zdd
  1. alu32

    0下载:
  2. 32 bit ALU design using VHDL code for Xilinx ISE Foundation
  3. 所属分类:VHDL-FPGA-Verilog

  1. 32-bit-cla-adder

    0下载:
  2. This a code that describe 32 bit carry look ahead adder in VHDL(32 bit CLA).-This is a code that describe 32 bit carry look ahead adder in VHDL(32 bit CLA).
  3. 所属分类:assembly language

    • 发布日期:2017-11-09
    • 文件大小:767
    • 提供者:hskim
« 12 3 4 5 6 »
搜珍网 www.dssz.com